CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl game

搜索资源列表

  1. Eat_beans_on_the_8086_games

    0下载:
  2. 本项目在FPGA上生成8086指令兼容的软核以及外设,并在此基础上跑通pc机上古老但是仍然有趣的吃豆子PACMAN游戏, 作为本科微机原理课程的实验。 通过本项目,学生可以学习到8086的基本结构, 在TurboC下如何进行嵌入式C语言编程,汇编语言, 计算机组成等基本原理, 有独立设计基于8086的SOC软硬件的能力。-The project generated in the FPGA on the 8086 Directive, as well as soft-core-compatible
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6281343
    • 提供者:xiaoxu
  1. VGAWorm

    0下载:
  2. VGA game implemented on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:631284
    • 提供者:picasso
  1. cyhx-cyhxlsz

    0下载:
  2. 游戏外挂,穿越火线的普普通通的外挂。 游戏外挂,穿越火线的普普通通的外挂。-Plug the game through the ordinary plug-in FireWire. Plug the game through the ordinary plug-in FireWire. Plug the game through the ordinary plug-in FireWire.
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-05-09
    • 文件大小:2196031
    • 提供者:sada
  1. ppong

    0下载:
  2. FGPA code to implement a ping-pong game. There will be LEDs simulating the path of ball , and two button representing the player. The player should hit the button when the ball reach his end. If the player hit the ball, it will go to the other player
  3. 所属分类:Button control

    • 发布日期:2017-03-29
    • 文件大小:197047
    • 提供者:lzm
  1. qiangdaqi

    0下载:
  2.   (1) 抢答器线路测试功能   为了保证比赛的正常进行,比赛前需要调试线路能否正常工作。    (2) 第一抢答信号的鉴别和锁存功能   可以判断谁最先抢到回答的资格,其相应的绿灯表示抢答成功,并具有锁存功能,一直到下一题开始。    (3) 犯规警示功能   可以判断出参赛者有没有在主持人读题的期间按下抢答器,有则相应的红灯亮,同时取消其本轮抢答资格。    (4) 计时功能   可以预置时间,可以进行倒计时并且将时间显示出来。    (5) 计分功能
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:956489
    • 提供者:孙国栋
  1. memory_game.asm

    0下载:
  2. example for memory game in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1610
    • 提供者:ido
  1. tetris

    1下载:
  2. Our project is to design and implement a Tetris game by using FPGA. Tetris a puzzle game that uses 4 square blocks joining edge to edge to form various combinations of shapes. There are 7 unique shapes. The shapes are controlled with the arrow keys f
  3. 所属分类:Project Design

    • 发布日期:2015-12-20
    • 文件大小:5136
    • 提供者:krishna
  1. fpga_pong

    0下载:
  2. fpga code for pong game
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:569582
    • 提供者:kasmi
  1. GameOfLife

    4下载:
  2. game of life in vhdl , using VGA interface and device
  3. 所属分类:Other systems

    • 发布日期:2017-05-22
    • 文件大小:7002546
    • 提供者:laddu
  1. pingpang

    0下载:
  2. 本实验在实验室实现了对于简易的乒乓球游戏的模拟,以发光二极管的移动来模拟乒乓球的移动,转向表示击球,并实现积分。-In this study, achieved in the lab for a simple table tennis game simulation, in order to light-emitting diodes to simulate the movement of table tennis movement, turning that ball and achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:325537
    • 提供者:wanjiabao
  1. tennis

    0下载:
  2. 两人乒乓球游戏机能够模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分。乒乓球游戏机是用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,用点亮的发光二极管按一定的方向移动表示球的运动。在游戏机的两侧设计两个开关,一个是发球一个是击球。甲乙两人按乒乓球比赛的规则来操作开关,数码管做计分牌,自动计分。 -The two table tennis table tennis game can simulate the basic processes and rules, and can
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:2534
    • 提供者:杨蓉
  1. BALANCEBALL-Finale

    1下载:
  2. 重力感应小球游戏,基于FPGA平台,Verilog语言,VGA输出。-Gravity sensing ball game, based on FPGA platform, Verilog language, VGA output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11012078
    • 提供者:朱澄澄
  1. baheyouxiji

    0下载:
  2. 拔河游戏机, 1、 设计一个能进行拔河游戏的电路。 2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、 用数码管显示获胜者的盘数。教学提示: 1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。 2、 用可逆计数器的加
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:6109
    • 提供者:kxsh
  1. clock2Hz

    0下载:
  2. this fpga spartan 3e based project file .the project is the game based on vga. this file contains 2,20,25,400Hz clock generating file as per required for the project.-this is fpga spartan 3e based project file .the project is the game based on vga.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2098
    • 提供者:yasir
  1. ps2interface

    0下载:
  2. this a fpga sparttan 3e based project in which i have made a game based on vga interface . this file is the supporting file for ps/2 interface .-this is a fpga sparttan 3e based project in which i have made a game based on vga interface . t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:5294
    • 提供者:yasir
  1. keyb

    0下载:
  2. this a fpga sparttan 3e based project in which i have made a game based on vga interface . this file is the supporting file for keyboard interface and it also included a intro.vhdl file required for the startup animation file.-this is a fpga spa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1672
    • 提供者:yasir
  1. tabletennisonFPGA

    0下载:
  2. 基于FPGA的乒乓球游戏电路 包括系统的设计要求和总体设计图。已经在multisim上仿真过了-The table tennis game based on FPGA circuits, including system design requirements and overall design plan. Multisim simulation has been passed on
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:288018
    • 提供者:司伟海
  1. PongGame

    0下载:
  2. A simple pong game for VHDL
  3. 所属分类:Shot Game

    • 发布日期:2017-04-01
    • 文件大小:2978
    • 提供者:dagcilibili
  1. pingpang

    0下载:
  2. 两人乒乓球游戏机是用9个发光二极管代表乒乓球台,用点亮的发光二极管按一定的方向移动来表示球的运动。在游戏机的两侧各设置一个开关,即击球开关Hit A,HitB。甲乙二人按乒乓球比赛规则来操作开关。当甲按动击球开关时,靠近甲的第一个二极管亮,然后发光二极管由甲向乙依次点亮,代表乒乓球的移动。当球过网(中点)时,乙方可以击球。若乙方提前或是没击中球则判乙方失分,甲方的计分牌自动加分。然后重新发球,比赛继续。比赛直到一方分数达到11分时,比赛结束。 -Two table tennis game w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4112
    • 提供者:Shine
  1. 8086FPGA

    0下载:
  2. 一个基于8086FPGA软核的吃豆子游戏的程序源代码-A soft-core based 8086FPGA Pacman game source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:4082907
    • 提供者:sssy
« 1 23 4 5 6 7 8 »
搜珍网 www.dssz.com